News

ASML’s next-generation EUV lithography equipment further delays 1nm process

ASML released its financial report two days ago, with annual net sales of 14 billion euros and 31 EUV lithography devices shipped, taking in revenue of 4.5 billion euros, with a unit price of almost 1.14 billion euros.

Although earnings growth is brisk, ASML there are worries, in fact, EUV shipping lithography devices less than the supposed 35 units, and they also declared a next-generation high NA of the EUV lithography confidential to 2025-2026 to between Year Large-scale application means that it will be delayed.

Previous information shows that ASML’s next-generation EUV lithography device will begin sampling in 2022 at the earliest, and mass production will be between 2024 and 2025.

ASML ’s EUV lithography device is currently mainly NEX: 3400B/C series, NA numerical aperture is 0.33, and the next generation EUV lithography device is NEX: 5000 series, which can increase NA to 0.55, which means that the resolution of the lithography device is improved. of 70%.

The current EUV lithography device can be used to make 7nm to 3nm chip technology, the next-generation EUV lithography device is for 3nm node less, 2 nm and even the future of 1nm technology to be used in NA 0.55 of EUV lithography.

(Via)

Click to comment

Leave a Reply

Your email address will not be published. Required fields are marked *

The Latest

To Top